Updated on 2022-06-10 10:15:23 UTC
refreshed every 30 minutes

Everybody's actions on tezos/michelson-reference priority::low (11)

Resource Action Who and why
tezos/michelson-reference#47
Some follow-ups from Edo update
priority::low difficulty::medium documentation output reference
Complete task @arvidnlarvidnl is assigned
tezos/michelson-reference#18
CHECK_SIGNATURE, COMPARE, DIG documentation improvement
priority::low difficulty::easy output reference
Complete task @baugrbaugr is assigned
tezos/michelson-reference#43
A more modular meta-data file `michelson-meta.yaml`
priority::low reference-schema
Solve issue @arvidnlarvidnl is assigned
tezos/michelson-reference#44
Schema: separate instructions / types per protocols
priority::low nice to have reference-schema
Solve issue @arvidnlarvidnl is assigned
tezos/michelson-reference#52
Add examples from TZT suite
priority::low difficulty::medium output test
Solve issue @arvidnlarvidnl is assigned
tezos/michelson-reference#36
Follow-up from "Align interactive reference with static of master (protocol 006) 1/2: up to 42bae26a7d"
priority::low difficulty::easy reference
Complete task @rafoo_rafoo_ opened issue and no one is assigned
tezos/michelson-reference#7
Add more example contracts
priority::low reference
Solve issue @arvidnlarvidnl opened issue and no one is assigned
tezos/michelson-reference#13
Document annotations
priority::low coherency reference
Solve issue @arvidnlarvidnl opened issue and no one is assigned
tezos/michelson-reference#22
Document macros
priority::low feature reference reference-schema
Solve issue @arvidnlarvidnl opened issue and no one is assigned
tezos/michelson-reference#51
Descriptions of predicates over types are incomplete
priority::low difficulty::medium output test
Solve issue @yrgyrg opened issue and no one is assigned
tezos/michelson-reference#63
Move the enumeration and description of type attributes to the meta data file
priority::low difficulty::medium output reference reference-schema
Solve issue @arvidnlarvidnl opened issue and no one is assigned